Skip to main content

VHDL code for 4 bit Gray to Binary converter

library ieee;
use ieee.std_logic_1164.all;

entity bejoy_g2b is
port(g:in std_logic_vector(3 downto 0);

b:inout std_logic_vector(3 downto 0));
end bejoy_g2b;


architecture a of bejoy_g2b is
begin

b(3)<=g(3);
b(2)<=b(3) xor g(2);
b(1)<=b(2) xor g(1);
b(0)<=b(1) xor g(0);
end a;

Comments

  1. thanks a lot brother

    ReplyDelete
  2. u have posted general programs & what i want to suggest u is create the combination of projects for designing a particular project.
    eg: If we want to design a digital calculator, how can we approach to design it.

    like that if u design ,it will be useful to u a lot & also to others like me....

    please take it as +ve way.

    ReplyDelete
  3. Hey im also electr. And comm. Engg.will u help me somthing in study plz .im in b.tech 2nd yr.frm india

    ReplyDelete
  4. thank you ;)
    can u use FOR loop after b(3)<=g(3) statement??

    ReplyDelete
  5. can you give the test bench of the programe

    ReplyDelete

Post a Comment

Popular posts from this blog

Master Slave JK Flip Flop

library ieee; use ieee.std_logic_1164.all; entity master_slave_jk is port(j,k,clk:in std_logic;q1,q1x,z1x:inout std_logic; q2,q2x,z2x: inout std_logic); end master_slave_jk; architecture arc of master_slave_jk is begin process(clk) begin if clk='1' then z1x<=(j and (not q2)) or ((not k)and q2); q1<=z1x after 5 ns; q1x<=not z1x after 5ns; else z2x<=(q1 and (not q2)) or ((not q1x)and q2); q2<=z2x after 5 ns; q2x<=not z2x after 5ns; end if; end process; end arc;